【芯观点】台积电,7nm以下“三国杀”的真正赢家?

来源:爱集微 #芯观点#
2.9w

芯观点──聚焦国内外产业大事件,汇聚中外名人专家观点,剖析行业发展动态,带你读懂未来趋势!

集微网消息,1月13日,台积电举行在线法人宣讲会,并发布了截至12月31日的2021财年第四季度财报。自2014年开始增加16/20nm节点的产量以来,台积电的全球代工份额一直在50%以上。到2020年底,台积电在全球代工市场的份额增加到57%,其41%的收入来自14nm及以下节点。

下图显示了按不同节点划分的毛利润差异,它部分解释了台积电向先进工艺节点转移的商业模式背后的基本原理。

28nm节点每300毫米晶圆的毛利润为2835美元,而3nm节点为8695美元。中国台湾知名半导体行业分析师陆行之表示,2022年台积电的资本支出预计比2021年增加33%-46%,但折旧费用增加10%-15%,这可能是台积电毛利率向55%趋近的重要原因。

图源:Seeking Alpha

目前三星电子和英特尔也专注于7nm一下节点,直接与台积电竞争。这三家公司都是光刻机设备供应商ASML原始投资者中的一部分,因此购买了多个EUV光刻系统。

未来五年,台积电VS三星VS英特尔

1.新晶圆厂建设

下图显示了未来几年台积电、三星电子和英特尔预计的晶圆厂建设。

这说明三星和英特尔将增强它们应对台积电的竞争力,同时台积电将继续建设以维持其竞争态势。

2.资本支出

资本支出是建筑成本和晶圆厂设备之和,大约为50:50的比例。下图显示的是台积电、英特尔和三星在2018年至2023年之间的资本支出。其中三星的资本支出仅包括代工,不包括DRAM 和NAND的资本支出。

2021年,台积电是最大的支出者,资本支出为285亿美元,比2020年增长66.6%。三星资本支出在2021年仅增长0.2%,但其2020年的资本支出增长113.8%。2022年英特尔预计将

增加资本支出43.2%。

尽管如此,在2020年至2023年间,台积电的资本支出仍高于竞争对手英特尔和三星。这种支出增加将导致晶圆厂产能和芯片产量提升。

3.节点转换

三家制造小于7nm芯片的公司之间的竞争将依赖于来自芯片设计和节点过渡路线图的产品技术特性。

先看台积电。4nm方面,台积电于2021年10月推出N4P,这是台积电5nm家族的第三个主要增强版本。N4P的效率比原先的N5提升了11%,并且比N4快6%。与N5相比,N4P的功耗效率提高了22%,晶体管密度提高了6%。同时,N4P降低了工艺复杂度,提高了通过减少光掩模层的数量来缩短芯片的生产周期。台积电将在2022年第四季度量产3nm工艺,

3nm方面,台积电依然采用FinFET架构,技术研发已经完成。台积电近日开始在Fab 18B工厂正式下线初步试产的3nm测试芯片。台积电计划在其进入2nm工艺时引入GAA(Gate All Around)技术,2024年开始量产2nm工艺芯片。

再来看三星。三星代工厂已经开始量产基于4nm工艺的芯片,并将开始在2022年量产3nm的半导体芯片。该公司的3nm工艺采用带有MBCFET(Multi-Bridge-Channel FET)的GAA设计,减少封装面积高达35%,提高性能30%,与该公司的5nm EUV工艺相比,功耗降低50%。

三星早些时候透露,将在2022年上半年推出第一代3nm 3GAE技术(3nm gate-all-around early)。2023年三星将推出新一代3nm 3GAP技术,专注于高性能运营。2025年,2nm 2GAP工艺将投产。

再来看看英特尔。这家公司在14nm工艺上停留了7年,直到2019年才真正量产10nm,相当于台积电7nm工艺的晶体管数量。

英特尔正在重命名其未来的工艺节点:

2022 H2,英特尔4:以前称为英特尔7nm。英特尔预计每瓦性能比上一代提高20%。

2023 H2,英特尔3:以前称为英特尔7+。英特尔3将共享英特尔4的一些特性,英特尔预计2023年下半年的制造量将比英特尔4提高18%的每瓦性能。

2024年,英特尔20A:前身为英特尔5nm,英特尔迈向两位数命名,A代表Ångström,或者10A等于1nm。

2025年,英特尔18A:英特尔预计2025年将有18A工艺。18A将使用ASML最新的EUV,称为High-NA机器,它们能够进行更精确的光刻。

未来五年展望

强大的台积电客户群。在三星和英特尔制造越来越小的节点的竞争基础上,台积电在其路线图中显然处于领先地位。台积电还拥有几家领先的半导体公司作为其客户,这些公司在过去几年的增长一直是台积电业绩的催化剂。其中包括苹果、AMD、英伟达和Marvell。

三星2nm的GAA可能会吸引更多客户。由于与台积电签订了多年合同,苹果基本上优先考虑台积电即将推出的3纳米甚至2纳米节点。但其他公司可以在近期和中期迁移到三星,不是因为节点迁移,而是因为三星将为其预计在2022年投入使用的3nm节点的晶体管率先采用更高效的GAA。而台积电仍计划将 FinFET技术用于其3nm节点,并且在其2nm节点之前不会迁移到GAA。可迁移的客户中包括AMD和高通。高通在三星和台积电之间拆分订单已经不是秘密。

结语 英特尔“虽迟但到”的设计前景

如上所述,英特尔正在恢复其技术实力。但同时,它需要在处理器领域与AMD竞争。英特尔将在2022年与台积电签约3nm产能。英特尔正在加紧准备,一旦新工厂投入运营,就可以与台积电竞争。高通将在未来开始让英特尔制造其芯片,使用英特尔即将推出的20A工艺。英特尔的技术路线图将其20A工艺固定在5nm,推出日期设定为2024年。在新任CEO的领导下,英特尔充满活力,这可能是一个竞争优势。(校对/隐德莱希)

责编: 武守哲
来源:爱集微 #芯观点#
THE END

*此内容为集微网原创,著作权归集微网所有,爱集微,爱原创

关闭
加载

PDF 加载中...